Updated to reflect the new function signiture

This commit is contained in:
jakeg00dwin 2024-09-23 12:04:55 -07:00
parent e3b42aeba9
commit 6990d2183e
1 changed files with 4 additions and 4 deletions

View File

@ -57,20 +57,20 @@ TEST(test_load, PortAHandlerSuccess)
TEST(test_load, HighThreshValid) TEST(test_load, HighThreshValid)
{ {
bool result = valid_load(HIGHTHRESH); bool result = is_valid_load(HIGHTHRESH);
CHECK_TRUE(!result); CHECK_TRUE(!result);
result = valid_load(HIGHTHRESH - 1); result = is_valid_load(HIGHTHRESH - 1);
CHECK_TRUE(result); CHECK_TRUE(result);
} }
TEST(test_load, LowThreshValid) TEST(test_load, LowThreshValid)
{ {
bool result = valid_load(LOWTHRESH); bool result = is_valid_load(LOWTHRESH);
CHECK_TRUE(!result); CHECK_TRUE(!result);
result = valid_load(LOWTHRESH + 1); result = is_valid_load(LOWTHRESH + 1);
CHECK_TRUE(result); CHECK_TRUE(result);
} }